12 Things Every Programmer Should Know

news/2024/5/18 22:38:17 标签: 测试, 工作, 读书, build, 任务, 语言

12 Things Every Programmer Should Know

 

1.充满热情, 喜欢你的工作 创造性 做得最好 比昨天更好

2.热爱你的代码 为人写代码,而不是为机器写代码 写干净的代码

3.版本控制 备份代码 跟踪变更 经常commit 如果build出错就不要commit

4.阅读代码 在你成为一个好的作家之前,首先是一个好的读者。70%时间在读,30%在写。

5.实践 实践 再实践 在公司外项目实战 尽可能做得更好 以老师身份实践

6.重构 提高你的代码质量 使代码易于理解 维护和拓展。

7.跟从模式和最佳实践 面向对象设计原则 SOLID GRASP DRY KISS 等设计原则

8.TDD/BDD 测试驱动开发, 测试是系统的特征约束 测试是第一个用户

9.自动化 使你的手工任务自动化 命令行应该是你朋友 持续集成 自动化部署。

10.理解你的业务领域, 业务领域知识永远不会过时,而技术会,只有你理解了业务是如何工作,你才会有创造性思路。

11.持续学习 读书 博客 网站,聆听,锐利你的工具。

12.在社区中实践 好的学习方式就是教别人或和别人沟通,参加一个语言技术的学习小组。

 

  from http://www.jdon.com/jivejdon/thread/39441


http://www.niftyadmin.cn/n/871945.html

相关文章

功能仿真与时序仿真,及Modelsim的使用

功能仿真和时序仿真1推荐仿真过程是正确实现设计的关键环节,用来验证设计者的设计思想是否正确,及在设计实现过程中各种分布参数引入后,其设计的功能是否依然正确无误。仿真主要分为功能仿真和时序仿真。功能仿真是在设计输入后进行&#xff…

科大奥锐干涉法测微小量实验的数据_专题58 双缝干涉测光的波长

【基础回顾】   1. 实验原理   如实验原理图甲所示,电灯发出的光,经过滤光片后变成单色光,再经过单缝S时发生衍射,这时单缝S相当于一个单色光源,衍射光波同时到达双缝S1和S2之后,S1、S2双缝…

ise和modelsim联合仿真

今天晚上看了一下网上的资源,找到一篇很好的文章,帮了我大忙,让我分清了仿真的几个阶段的区别,以及一些基本概念。产生的文件的位置。 (1)、新建一个ISE工程,名字为count4。(2)、新建一个verilog文件(3)、选择verilog …

npoi在单元格里嵌套单元格_Excel合并单元格不能用Vlookup,3种方法查找匹配搞定!...

举个实例:有一份企业各员工的底薪表,如下所示:现在要算工资,要查找匹配底薪,但A列已经被合并了单元格,如下所示:假如直接使用VLOOKUP进行查找匹配的时候,就会出错,在D2单…

Quartus II中FPGA的管脚分配保存方法汇总

原文地址:http://www.cnblogs.com/sunev/archive/2012/03/10/2388705.html 一、摘要 将Quartus II中FPGA管脚的分配及保存方法做一个汇总。 二、管脚分配方法 FPGA 的管脚分配,除了在QII软件中,选择“Assignments ->Pin”标签(…

51黑电子论坛单片机_基于51单片机交通灯设计车流量检测DIY电子仿真十字路口...

演示视频:https://v.youku.com/v_show/id_XMjgxNzMzMTc0MA.html?spma2hzp.8253869.0.0将链接复制至IE浏览器打开!!!打开仿真文件开始仿真,程序开始数码管倒计时,没有按键按下程序循环运行。1,东…

Verilog 连续赋值、过程赋值、过程性连续赋值

连续赋值: 1)语法上,有关键词“assign”来标识;2)左侧被赋值的数据类型必须是线网型数据(wire);3)连续赋值语句不能出现在过程快中(initial/always&#xff0…

onu光功率多少是正常_【装维技巧】ONU、机顶盒、路由器常见网络问题及处理方法!...

相信不少师傅工作中常遇到这些情况:网络慢或经常掉线但又检查不出故障原因今天小编就总结一些常见网络问题及处理方法让你 “快、狠、准”解决故障根源一、终端01、用户使用无线连接的终端上网反应慢、掉线原因:客户端无线WIFI型号使用环境复杂造成无线信…